【Milk-V Duo 开发板免费体验】开发板使用1

接上文 【Milk-V Duo 开发板免费体验】开发环境搭建 |

milk-v开发板没有flash,需要自备一张TF卡,TF读卡器(如果电脑没有卡槽的话)USB TypeC线,一根USB转TTL线一根(USB转com模块),用来调试看log

软件框架

此开发板,运行linux系统,,linux系统搭载U-boot、Linux 内核、根文件系统 (rootfs) 以及内核和根文件系统。未开放RTOS,不能实时控制。

Milkv官网有已经编译好的系统镜像,可以用大部分外设参见“外围设备驱动操作指南PeripheralDriver_zh.pdf”文件

系统烧录

Milkv官网有已经编译好的系统镜像,链接在这里。

我已经下载milkv-duo-20230616-2232.img.zip

下载好以后使用 Win32DiskImager软件在win下烧录镜像

等待进度条结束就烧好了镜像

驱动安装

在烧录镜像后,将USB一端接入电脑,另一端接入MILKV DUO核心板上,当核心板的系统指示灯闪烁之后查看电脑端的设备管理器,此时在“其他设备”一栏中会出现带感叹号的RNDIS选项。

选中RNDIS右键选择“更新驱动程序”

选择“浏览我的电脑以插座驱动程序”

选择“让我从计算机上的可用驱动程序列表中选取”

往下拉,选择“网络适配器”

在厂商一栏中选择“Microsoft”,在型号一栏中选择“远程NDIS兼容设备”

最后当出现“更新驱动程序警告”时,选择“是”即可更新完成RNDIS驱动程序

更新完成RNDIS驱动程序之后在网络适配器一栏中会出现“远程NDIS兼容设备”的新设备,这说明RNDIS驱动已经更新完成

使用MobaXterm登陆系统

直接连接ssh,即可。

查看下可用内存只有28M,好像其他的内存都分配给摄像头用了,但是没有摄像头,如果需要用全部内存需要自己编译下固件,然后重刷固件。

点亮LED

接下来当然是先看官方的LED点亮方式(shell)

在milk-v duo上有一个板载LED,与XGPIOC24连接。

默认GPIO相关模块已全部编入内核,不需要再执行加载命令。

在控制台下运行GPIO读写命令或者自行在内核态或者用户态编写GPIO读写程序,就可以对GPIO进行输入输出操作。

其中XGPIOC的组号值为:416,则GPIO编号为416+24=440。

在duo启动,进入命令行之后,输入以下命令:

echo 440 > /sys/class/gpio/export 					#此时生成/sys/class/gpio/gpio440目录

echo out > /sys/class/gpio/gpio440/direction		#再配置IO方向为输出:

echo 1 > /sys/class/gpio/gpio440/value				#点亮LED

echo 0 > /sys/class/gpio/gpio440/value				#熄灭LED

echo 440 > /sys/class/gpio/unexport				#释放GPIO资源

对于操作GPIO高低电平来说,这样的操作方式,很直接,很粗暴。
也可以用脚本方式led.sh,可前台后台执行。可支持中断方式。

使用1部分完成。
也可以用脚本文件方式控制led,可前台后台执行。可支持中断方式。

本文转载自:https://bbs.elecfans.com/jishu_2366650_1_1.html,作者:卢松涛