【Milk-V Duo 开发板1积分体验】体验shell 点灯

登录开发板:用户名root,密须milk。


进入/mnt/system/目录下面,用ls查看有如下几下文件:

[root@milkv]/mnt/system# ls
S99msc       auto.sh      blink.sh     ko           lib          rndis.sh     sdk-release  usr

其中的blink.sh是闪灯程序,我们查看一下内容,我注释了一下:

[root@milkv]/mnt/system# cat blink.sh
#!/bin/sh

LED_GPIO=/sys/class/gpio/gpio440  //定义gpio440 也就是板载的LED

if test -d $LED_GPIO; then
    echo "GPIO440 already exported"  //如果已存存了,测打印已初始化了
else
    echo 440 > /sys/class/gpio/export    //初始化LED
fi

echo out > $LED_GPIO/direction    //设置LED为输出模式

while true; do
    echo 0 > $LED_GPIO/value            //设置低电平
    sleep 0.5
    echo 1 > $LED_GPIO/value         //设置高电平
    sleep 0.5
done

运行程序:./blink.sh就可以看到板载的LED一闪一灭了。

1 Like